linux vcs指的是什么

64次阅读
没有评论

共计 1852 个字符,预计需要花费 5 分钟才能阅读完成。

本篇内容主要讲解“linux vcs 指的是什么”,感兴趣的朋友不妨来看看。本文介绍的方法操作简单快捷,实用性强。下面就让丸趣 TV 小编来带大家学习“linux vcs 指的是什么”吧!

linux vcs 是编译型 verilog 仿真器,是一种用来进行仿真看波形的工具;其类似于 windows 下面的 modelsim 以及 questasim 等工具,以及 quartus、vivado 仿真的操作。

VCS 是在 linux 下面用来进行仿真看波形的工具,类似于 windows 下面的 modelsim 以及 questasim 等工具,以及 quartus、vivado 仿真的操作。

1.vcs 的基本指令

vcs 的常见指令后缀

指令含义 -l readme.log 用于将编译产生的信息放在 log 文件内 +v2k 是使 VCS 兼容 verilog 2001 以前的标准 -debug_all 用于产生 debug 所需的文件 -f verilog_file.f 可将.f 文件里的源码全部编译 - R 编译后立即运行,即编译完成后立即执行 ./simv-Mupdate 源文件有修改时,只重新编译有改动的 **.v** 文件,节约编译时间。-sverilog 打开对 Systemverilog 的支持,编译 Systemverilog 文件时使用。-timescale=1ns/1ns 设置仿真精度 -o simv_file 编译默认产生的可执行文件为 simv,可以使用 -o 更改可执行文件名。如果这里修改执行仿真时候就不是使用 simv 而是对应的 o 名字了。

simv 的常见指令

指令含义./simv -l run.log 执行的日志文件保存./simv -gui 以图形化界面打开 2. 使用 vcs 的实例

采用的是全加器的官方教程,首先介绍不使用脚本的执行过程。
(1)先执行 vcs 加入对应的 full_adder 文件

vcs -sverilog  -debug_all   -timescale=1ns/1ps  full_adder.v  full_adder_tb.v -l com.log

这里先写 full_adder.v 是因为 tb 文件需要调用它,所以把被调用的文件先写出来,这条语句会生成 simv 文件(如果使用了 -o simv_file 会生成对应的文件名字)。
(2)可以用./simv 文件执行波形的界面,也可以采用下面指令以后台方式打开一个界面。

dve   或者 

./simv -l run.log -gui

(3)如果以./simv 执行会直接看到波形文件,如果使用 dve 需要自行加入 simv 文件来查看波形,选中 simulator- Setup, 加入生成的 simv 文件。

在下方 run all,如果没有给时间刻度这里会报错。没有报错,则说明我们的功能是完全正确无误的。右键加入波形文件,按 f 键显示波形。
(4)如下的四个按键作用
第一个:追踪谁驱动该信号 ctrl+d
第二个:追踪信号的值变换 ctrl+shift+d
第三个:追踪未知态
第四个:追踪用于驱动谁

3.makefile 文件的写法

vcs 的脚本写法可以帮助快递进行仿真得到波形文件。
其中.PHONY 可以指定对应哪些关键词进行 make 操作。

.PHONY:vcs sim dve clean 

OUTPUT = adder

VCS = vcs -sverilog +v2k -timescale=1ns/1ns                             \
         -debug_all                                                    \
         -o ${OUTPUT}                                                  \
         -l compile.log                                                \SIM = ./${OUTPUT} -l run.log


vcs:        ${VCS} -f verilog_file.f


sim:        ${SIM}dve:
dve -vpd    vcdplus.vpd  clean:       rm -rf *.log  csrc  simv*  *.key *.vpd  DVEfiles coverage *.vdb

这样 make vcs 执行 vcs 语句,make sim 执行 simv 语句,make dve 打开波形文件,make clean 清除一些冗余文件。
其中,verilog_file.f 是文件的列表,可以采用下面语句生成,这样将所有以 v 结尾的文件名写到目标文件中。

find  -name   .*v   file.list

到此,相信大家对“linux vcs 指的是什么”有了更深的了解,不妨来实际操作一番吧!这里是丸趣 TV 网站,更多相关内容可以进入相关频道进行查询,关注我们,继续学习!

正文完
 
丸趣
版权声明:本站原创文章,由 丸趣 2023-07-12发表,共计1852字。
转载说明:除特殊说明外本站除技术相关以外文章皆由网络搜集发布,转载请注明出处。
评论(没有评论)